Jobs
All our offers
-
phD
High-throughput experimentation applied to battery materials
High throughput screening, which has been used for many years in the pharmaceutical field, is emerging as an effective method for accelerating materials discovery and as a new tool for elucidating composition-structure-functional property relationships. It is based on the rapid combinatorial synthesis of a large number of samples of different compositions, combined with rapid and...
-
phD
Design and fabrication of neuromorphic circuit based on lithium-iontronics devices
Neural Networks (NNs) are inspired by the brain’s computational and communication processes to efficiently address tasks such as data analytics, real time adaptive signal processing, and biological system modelling. However, hardware limitations are currently the primary obstacle to widespread adoption. To address this, a new type of circuit architecture called quot;neuromorphic circuitquot; is emerging. These...
-
phD
Complex 3D structuring based on DNA origami
The rapid evolution of new technologies, such as autonomous cars and renewable energy, requires the development of increasingly complex structures. To achieve this, many surface patterning techniques are available today. In microelectronics, optical lithography is the standard method for creating micro- and nanometric patterns. However, it remains limited in terms of the diversity of shapes...
-
phD
Self Forming Barrier Materials for Advanced BEOL Interconnects
Context : As semiconductor technology scales down to 10 nm and below, Back End of Line (BEOL) scaling presents challenges, particularly in maintaining the integrity of copper interconnects, where line/via resistance and copper fill are key issues. Copper (Cu) interconnections must resist diffusion and delamination while maintaining optimal conductivity. In the traditional Cu damascene process,...
-
phD
Fracture dynamics in crystalline layer transfer technology
Smart Cut™ is a technology discovered at CEA and now industrially used for the manufacture of advanced substrates for electronics. However, the physical phenomena involved are still the focus of numerous studies at CEA. In Smart Cut™, a thin material layer is transferred from one wafer to another using a key fracture annealing step upon...
-
phD
Impact of plasma activation on reliability of Cu/SiO2 hybrid bonding integrations
In recent years, CEA-LETI emerged as a leading force in the development of advanced microelectronic manufacturing processes. A key focus has been on wafer-to-wafer Cu/SiO2 hybrid bonding (HB) process, an emerging technology increasingly employed for producing compact, high performance and multifunctional devices. Before bonding, a crucial surface activation step is necessary to enhance the mechanical...
-
phD
Plasma Etching development for the advanced nodes using SADP techniques
The miniaturization of the electronics components involves the development of new processes. Indeed, the 193nm immersion lithography alone does not permit anymore to achieve the dimensional requirements of the most advanced technological nodes (=10nm). Since the last 10 years, multi-patterning techniques have been developed to overcome the i193nm lithography limitations. Herein, we will study the...
-
phD
TeraHertz Landau emission in HgTe/CdTe topological quantum wells
Quantum well heterostructures of HgTe/CdTe are known as topological insulators. They inherit very peculiar electronic properties. One of them is the ability of producing TeraHertz emission from inter-Landau energy level optical transitions. These transitions can be envisioned to lead to coherent optical sources in spectral range where they are basically absent. The PhD Thesis consists...
-
phD
MOCVD growth of 2D ferroelectric In2Se3 films for high density, low consumption nonvolatile memories
Room temperature ferroelectric thin films are the key element of high density, low consumption nonvolatile memories. However, with the further miniaturization of the electronics devices beyond the Moore’s law, conventional ferroelectrics suffer great challenge arising from the critical thickness effect, where the ferroelectricity is unstable if the film thickness is reduced to nanometer or single...
-
phD
Sub-10nm CMOS performances assessment by co-optimization of lithography and design
While developing and introducing new technologies (ex. FDSOI 10nm CMOS), design rules (DRM) are the guidelines used to ensure that a chip design can be reliably fabricated. These rules govern the physical dimensions and spacing of various features used by the designer in the chip layout. They translate both device electrical constraints and manufacturing processes...
-
phD
Study of grayscale photoresists and lithography process optimizations for submicron optical applications
Grayscale lithography process has been used for several years to obtain complex tridimensional structures on semiconductors substrates. This process is particularly adapted for optical and opto-electronics applications. CEA-LETI has developed a strong expertise on I-line (365nm) grayscale lithography, and is now willing to expand its capabilities and explore grayscale process with DUV (248nm and 193nm)...
-
phD
SCOamp;FE ALD materials for FeFET transistors
Ferroelectric Field Effect Transistors FeFET is a valuable high-density memory component suitable for 3D DRAM. FeFET concept combines oxide semiconductors SCO as canal material and ferroelectric metal oxides FE as transistor gate [2, 3]. Atomic layer deposition ALD of SCO and FE materials at ultrathin thickness level (lt;10 nm) and low temperature (lt;300°C) are highly...
-
phD
Direct metal etch mechanisms study for the BEOL of ultimate SOI nodes
The topic fits into the deployment of silicon technologies at the European level (European chips act), led by CEA-Leti. The focus will be on providing advanced technological building blocks for electrical routing (Back End of Line) of logic and analog devices. The development of increasingly high-performance circuits requires interconnections with more aggressive dimensions. The use...
-
phD
ALD materials for FE and AFE capacitances
Ultrathin HfO2-based materials are regarded as promising candidates for embedded non-volatile memory (eNVM) and logic devices. The CEA-LETI has a leadership position in the field of BEOL-FeRAM memories ultra-low consumption (lt;100fj/bit) at low voltage (lt;1V). In this context, the developments expected in this thesis aim to evaluate the impact of HfO2-based ferroelectric FE and antiferroelectric...
-
phD
Advanced Surface Analysis of Ferroelectrics for memory applications
CEA-Leti has a robust track record in memory technology. This PhD project aims to contribute to the development of HfO2-based ferroelectric devices. One of the major challenges in this field is to stabilize the orthorhombic phase while reducing film thickness and thermal budget. To gain a deeper understanding of the underlying mechanisms, a novel sample...
-
phD
Low temperature selective epitaxial growth of SiGe(:B) for pMOS FD-SOI transistors
As silicon technologies for microelectronics continue to evolve, processes involved in device manufacturing need to be optimized. More specifically, epitaxy, a crystal growth technique, is being used to fabricate 10 nm technological node FD-SOI (Fully Depleted-Silicon On Insulator) transistors as part of CEA-Letiapos;s NextGen project. Doped and undoped Si and SiGe semiconductor epitaxy is being...
-
phD
Optimisation of advanced mask design for sub-micrometer 3D lithography
With the advancement of opto-electronic technology, 3D patterns with sub micrometer dimensions are more and more integrated in the device, especially on imaging and AR/VR systems. To fabricate such 3D structures using standard lithography technique requires numerous process steps: multiple lithography and pattern transfer, which is time and resource consuming. With optical grayscale lithography, such...
-
phD
Study and evaluation of silicon technology capacities for applications in infrared bolometry
Microbolometers currently represent the dominant technology for the realization of uncooled infrared thermal detectors. These detectors are commonly used in the fields of thermography and surveillance. However, the microbolometer market is expected to grow explosively in the coming years, particularly with their integration into automobiles and the proliferation of connected devices. The CEA Leti LI2T,...
-
phD
Topologic optimization of µLEDapos;s optical performance
The performance of micro-LEDs (µLEDs) is crucial for micro-displays, a field of expertise at the LITE laboratory within CEA-LETI. However, simulating these components is complex and computationally expensive due to the incoherent nature of light sources and the involved geometries. This limits the ability to effectively explore multi-parameter design spaces. This thesis proposes to develop...
-
phD
Development of multiplexed photon sources for quantum technologies
Quantum information technologies offers several promises in domains such as computation or secured communications. There is a wide variety of technologies available, including photonic qubits. The latter are robust against decoherence and are particularly interesting for quantum communications applications, even at room temperature. They also offers an alternative to other qubits technologies for quantum computing....
-
phD
Water at the hydrophilic direct bonding interface
The microelectronics industry is making increasing use of hydrophilic direct bonding technology to produce innovative substrates and components. CEA LETIapos;s teams have been leaders in this field for over 20 years, offering scientific and technological studies on the subject. The key role of water at the bonding interface can be newly understood thanks to a...
-
phD
Increasing the electrothermal robustness of new SiC devices
Silicon Carbide (SiC) is a semiconductor with superior intrinsic properties than Silicon for high temperature and high power electronics applications. SiC devices are expected to be extensively used in the electrification transition and novel energy management applications. To fully exploit the SiC superior properties, the future semiconductor devices will be used under extreme biasing and...
-
phD
Study of 3D pattern etch mechanisms into inorganic layers for optoelectronic applications
Optoelectronic devices such as CMOS Image Sensors (CIS) require the realization of 3D structures, convex microlenses, in order to focus photons towards the photodiodes defining the pixels. These optical elements are mandatory for the device efficiency. Their shape and dimension are critical for device performances. In the same way, devices based on diffractive optic and...
-
phD
Towards eco innovative, sustainable and reliable piezoelectric technology
Are you looking for a Phd position at the intersection of eco-innovation and high-tech? This subject is for you! You will participate in efforts aimed at reducing the environmental footprint of piezoelectric (PZE) technology applied to micro actuators and sensors, while maintaining optimal levels of electrical performance and reliability. Currently PZE technology primarily relies on...
-
phD
Selective epitaxial Regrowth for extended Base contact in High-Performance Antimonide-based HBT Transistors
With the rapid expansion of wireless networks and the imminent arrival of 6G, the need for highly efficient communication systems has never been more critical. In this context, frequencies beyond 140 GHz emerge as a key frontier, where cutting-edge technologies leverage advanced semiconductors like InP, delivering unmatched performance beyond what SiGe solutions can achieve. However,...
-
phD
Simulation and characterization of integrated structures during and after the millisecond laser annealing step
Laser annealing processes are now used in a large range of applications in most advanced microelectronics technologies. Whether in the context of advanced planar CMOS components or 3D integration technologies, the specific characteristics of laser annealing enables to reach very high temperatures in very short times, at die scale, and to work in conditions out...
-
phD
Vertical GaN power devices development using localized epitaxy
This PhD offers a unique opportunity to enhance your skills in GaN power devices and develop cutting-edge architectures. You’ll work alongside a multidisciplinary team specializing in materials engineering, characterization, device simulation, and electrical measurements. If you’re eager to innovate, expand your knowledge, and tackle state-of-the-art challenges, this position is a valuable asset to your career!...
-
phD
Innovative cooling solutions for 2.5D and 3D electronic systems
This thrilling PhD position invites you to dive into the groundbreaking field of 2T0C (Two-Transistor, Zero-Capacitor) BEOL FET (Back-End-of-Line Field-Effect Transistor) based neurons and synapses, a revolutionary approach poised to transform neuromorphic computing. As a PhD student, you will be at the forefront of research that bridges advanced semiconductor technology with brain-inspired architectures, exploring how...
-
phD
Field Effect Transistor with Oxide Semiconductor Channel: Multi-Level Synaptic Functions and Analog Neurons
This thrilling PhD position invites you to dive into the groundbreaking field of 2T0C (Two-Transistor, Zero-Capacitor) BEOL FET (Back-End-of-Line Field-Effect Transistor) based neurons and synapses, a revolutionary approach poised to transform neuromorphic computing. As a PhD student, you will be at the forefront of research that bridges advanced semiconductor technology with brain-inspired architectures, exploring how...