Jobs

All our offers

+Filter by technology challenge

  • Cyber security : hardware and sofware
  • Energy efficiency for smart buildings, electrical mobility and industrial processes
  • Solar energy for energy transition
  • Green & decarbonated energy including bioprocesses and waste recycling
  • Additive manufacturing, new routes for saving materials
  • Support functions
  • Advanced hydrogen and fuel-cells solutions for energy transition
  • Instrumentation nucléaire et métrologie des rayonnements ionisants
  • Artificial Intelligence & data intelligence
  • New computing paradigms, including quantum
  • Emerging materials and processes for nanotechnologies and microelectronics
  • Advanced nano characterization
  • Photonics, Imaging and displays
  • Communication networks, IOT, radiofrequencies and antennas
  • Smart Energy grids
  • Numerical simulation & modelling
  • Stockage d'énergie électrochimique y compris les batteries pour la transition énergétique
  • Cyber physical systems - sensors and actuators
  • Health and environment technologies, medical devices
  • Factory of the future incl. robotics and non destructive testing

+Filter by contract type

  • Work-study contract
  • Fixed term contract
  • Permanent contract
  • phD
  • PostDoc
  • Internship

+Filter by institute

  • CEA-Leti
  • CEA-List
  • CEA en Région

+Filter by location

  • Grenoble
  • Lille
  • Metz
  • Nantes
  • Paris – Saclay
  • Quimper
  • Toulouse - Labège

+Filter by Level of study

  • Level 4
  • Level 5 / Level 6
  • Level 7
  • Level 8
Number of results : 34
  • phD Towards sustainable electronics: impact and understanding of the substitution of high GWP gases on plasma etching processes

    To address environmental concerns in the microelectronics industry, CEA-LETI is committed to an eco-innovation approach [1]. In this context, the development of eco-responsible processes that reduce PerFluoro-Carbon (PFC) emissions is crucial [2]. Plasma etching processes are a major emitter of PFCs because they traditionally use high GWP gases. The aim of this thesis will be...

    Learn more Apply

  • phD Integration of new materials with strong spin-orbit coupling (van der Waals tellurides) for SOT-MRAM memories

    The new concepts offered by “spinorbitronics” make it possible to envisage more frugal electronics. In particular, for writing a magnetic memory (MRAM), a new concept of magnetization reversal by a spin-orbit couple is emerging. Its principle permits a significant decrease in memory writing energy and enhanced endurance. Its operation is based on the conversion of...

    Learn more Apply

  • phD Study of pattern filling for nanoimprint use in advanced process

    Since 1960, CEA-LETI (laboratory of electronics and information technology) is a driver of French innovation in new technologies domain. Its diverse entities bridge fundamental research and industrial outcomes. One of them, DPFT (department of technological platform) leverages innovation and maturation of new processes for next-generation electronics with its pre-industrial environment grouping together manufacturing and characterization...

    Learn more Apply

  • phD Qubit conditioning circuit based on Single Electron Transistor electronics

    A new research direction has emerged that consists in the design of cryogenics integrated circuits (cryo-CMOS) to address the needs of many scientific experiments in astronomy, in physics of particles or in quantum physics. Nevertheless, the power consumption of such solutions is still high and prevent their use in applications that necessitate the conditioning of...

    Learn more Apply

  • phD AI for SEM metrology: image generation and 3D reconstruction applied to microelectronic devices

    Scanning Electron Microscopy (SEM) imaging is the current reference method for quality control in the microelectronic industry, due to the size of the objects involved and to the yield expected when these tools are used in production lines. In order to improve our knowledge on physics in play during imaging and to develop more performant...

    Learn more Apply

  • phD Study of InP and AsGa wafer bonding mechanisms

    Direct bonding consists of bringing sufficiently smooth and clean surfaces into contact, in order to create adhesion between them without adding any external material. This technology presents many advantages for the production of stacked structures for microelectronics and micro-technologies and has given rise to numerous innovations (manufacturing of SOI by SmartCutTM, manufacturing of SmartSiCTM, production...

    Learn more Apply

  • phD “Remote epitaxy" of Cd(Hg)Te

    A new way of considering epitaxy has recently appeared thanks to the development of 2D materials. Whereas conventional epitaxy involving covalent bonds is limited in particular to a lattice parameter matching between the substrate and the epitaxial membrane, it appears that this constraint can be significantly released if the epitaxial growth is done by van...

    Learn more Apply

  • phD In situ study of the impact of the electric field on the properties of chalcogenide materials

    Chalcogenide materials (PCM, OTS, NL, TE, FESO, etc.) are the basis of the most innovative concepts in microelectronics, from PCM memories to the new neuromorphic and spinorbitronic devices (FESO, SOT-RAM, etc.). Part of their operation relies on out-of-equilibrium physics induced by the electronic excitation resulting from the application of an intense electric field. The aim...

    Learn more Apply

  • phD Quantum Cascade III-V/Si laser micro-sources

    This thesis project focuses on the development of innovative micro-laser sources by combining III-V Quantum Cascade materials with Silicon Photonic Crystals. By integrating these advanced technologies, we aim to create hybrid lasers emitting in the middle infrared. This approach has significant advantages for medium-infrared spectrometry (MIR), a crucial technique for the chemical detection of gaseous,...

    Learn more Apply

  • phD Epitaxial layer on GaAs or Ge transfer to sapphire or silicate for gravitational waves mirror realization

    Gravitational waves were predicted by the theory of general relativity, they are created in the universe by extreme cosmic events. Their measurement on earth in large instruments such as VIRGO in Italy is a challenge in terms of measurement sensitivity. These instruments are large interferometers (several kilometers), and the entire optical chain must minimize noise...

    Learn more Apply

  • phD Innovative dry etching process of exotic materials

    The advantageous properties (electro-optical, - acoustic, -mechanical) of new materials such as Sc-doped ALN, LNO, LTO or KNN make them essential to meet the development needs of integrated optics, RF telecommunication and microsystems. The production of patterns with submicron dimensions with a significant etch rate (gt;100nm/min), a vertical profile and a reduced roughness of the...

    Learn more Apply

  • phD Development of deposit/etch processes for SADP integration to FD10 node

    Developement of new technologic nodes involves both a pattern dimension shrink and a pattern density increase. For the last years, development of multi-Patterning strategies with in particular Spacer Patterning (also called SADP) has signifcantly increased. This approach is based on a sacrificial pattern on which a material is depsosited with a conformal configuration to be...

    Learn more Apply

  • phD Study of co-integrated TeraHertz source arrays in Silicon and III-V photonics technology

    TeraHertz (THz) radiation is of growing interest for imaging and spectroscopy in various application fields such as safety, health, environment and industrial control, since in this frequency range many dielectric materials are transparent and many molecules present unique spectral signatures for their identification. However, the limitations of the current sources, required for this active Imaging,...

    Learn more Apply

  • phD Etch and integration of phase change materials for reconfigurable photonic

    Chalcogenide glasses are materials of interest for many applications: in phase-change memories, for example optical storage (CD-RW, DVD-RAM, Blu-ray Disks) or more recently Storage Class Memory, as a selector in 3D architecture resistive memories (OTS selector) or as an active medium for non-linear optics and reconfigurable photonics. In the latter case, the production of metasurfaces...

    Learn more Apply

  • phD Towards sustainable and reliable piezoelectric technology for MEMS actuator and sensor devices

    Proven or predictable shortages of materials and the necessary frugality associated with the energy transition create a constrained framework for the sustainable deployment of microelectronics and microsystems technologies. This thesis is thus part of an approach that aims to significantly reduce the environmental footprint of piezoelectric MEMS actuator/sensor technology. The latter is based on the...

    Learn more Apply

  • phD Ecodesign of sintered interonnects : reliability and repairability improvement

    Metallic sintering, particularly silver-based or copper-based sintering, is a component interconnects technique alternative to soldering, used in particular in the field of power electronics. The main advantages of this technique are first of all its very high thermal and electrical conductivity, but also the fact that the sintered interconnection (with a process realized for example...

    Learn more Apply

  • phD Semiconductor perovskites for the future of medical radiography: experimental analysis of doping and link to electrooptical performance

    X-rays is the most widely used medical imaging modality for the detection of pathologies, the monitoring of their evolution and during certain surgical procedures. The objective of this thesis is to study a new semiconductor material based on perovskites for direct X-ray detection. Their use in the form of photoconductive devices in matrix imagers should...

    Learn more Apply

  • phD Development of optomechanical clocks with integrated electronics

    Time references (clocks) are components used in the majority of electronic circuits. CEA-Leti is a pioneer in the development of high-frequency/high-performance clocks using optomechanics, which combines two cutting-edge technologies: microelectromechanical resonators (MEMS) and silicon photonics. This breakthrough approach allows overcoming the constraints of current clock technologies. CEA-Leti has developed optomechanical components with state-of-the-art performance and...

    Learn more Apply

  • phD Development and integration of technological boosters for strain engineering in advanced FDSOI channels

    Fully Depleted Silicon On Insulator FDSOI CMOS technology has been demonstrated to be highly efficient for low power and low leakage applications such as mobile, internet of things or wearable. This is mainly due to the excellent electrostatic control in the transistor channel, a low variability and high application flexibility (owing to a great back-biasing...

    Learn more Apply

  • phD Semi-polar GaN epitaxy for high frequency µLEDs

    Semiconductor nitride-based LEDs have reached a high level of maturity due to their use in the field of lighting. While the internal electric field present in InGaN quantum wells does not limit the efficiency of blue LEDs, it does induce the confined quantum Stark effect (QCSE), which limits the bandwidth of the LEDs and thus...

    Learn more Apply

  • phD Intrafield placement error optimisation for advanced integration nodes

    As part of the microelectronics growth plan, driven by the European directive France 2030, CEA Leti is acquiring new state-of-the-art tools and developing new technologies for future applications. The challenge is to develop several technological building blocks enabling the industrial transfer of microelectronic processes down to the 10nm technology node. Lithography is the most critical...

    Learn more Apply

  • phD Development of advanced gallium oxide (Ga2O3) on polycrystalline silicon carbide (SiC) bilayer substrates for power electronics

    Enhancing the efficiency of energy-conversion devices is a primary goal for numerous international organizations [1]. As a result, the power electronics and wide-band-gap materials industries require significant development effort to align with these objectives. Particularly, recent progress in silicon carbide (SiC) processing technologies [2]–[4] show that innovative substrate architectures have the potential to offer state-of-the...

    Learn more Apply

  • phD X-ray attacks of advanced technology integrated circuits

    The CESTI laboratory in Grenoble is responsible for the safety evaluation of products (commercial or prototype). or prototypes). A wide range of tests can be carried out as part of these evaluations, including those designed to observe the target's behavior when faults are injected into integrated circuits. Fault injection consists of perturbing the system by...

    Learn more Apply

  • phD Molecular dynamics simulation of phase change in Ge-rich GeSbTe materials

    The goal of this thesis is to study the phase change of Ge-rich GST with molecular dynamics (MD) simulations using equivariant graph neural networks interatomic potentials (GNN-IP). The candidate will train a GNN-IP model on ab initio reference calculations of Ge-rich GST in order to describe amorphous and crystalline phases. The GNN-IP will be used...

    Learn more Apply

  • phD Impact and cohabitation of Lithium on a microelectronics platform

    Context: Lithium-based materials, whether thin layers or bulk material, are of great interest for varied applications (batteries, RF components…). However, their cohabitation with other “standard” materials for microelectronics requires special attention regarding dissemination in the clean room and a potential impact on electrical performances of devices. Indeed, as a precaution, these materials are “confined” on...

    Learn more Apply

  • phD Modeling and Optimization of 2D Material-Based Field-Effect Transistors: From Multi-Physics Simulations to Atomic-Scale Insights

    Field-effect transistors employing 2D materials are emerging as promising candidates due to their superior mobility and atomic thinness. Nonetheless, this technology faces multiple challenges, including minimizing contact resistances, controlling variability, and optimizing short-channel transistors (lt; 10 nm). At CEA-Leti, a concerted experimental and computational effort is underway to address these issues and propel the development...

    Learn more Apply

  • phD Photonic Spiking Neural Networks based on Q-switched laser integrated on Silicon

    Neuromorphic networks for signal and information processing have acquired recently a renewed interest considering the more and more complex tasks that have to be solved automatically in current applications: speech recognition, dynamic image correlation, rapid decision processing integrating a plurality of information sources, behavior optimization, etc… Several types of neuromorphic networks do exist and, among...

    Learn more Apply

  • phD Characterization and design of radiation-hardened HfO2-based non-volatile memories

    This project concerns the characterization and design of radiation-hardened non-volatile memory circuits based on HfO2 material. This material is immune to both natural (space) and artificial (man-made) radiation, and can be used to enhance the reliability of data storage in harsh environments. Whatapos;s more, when combined with FD-SOI CMOS technology, which also offers a certain...

    Learn more Apply

  • phD Could convolutional neural network bring benefits in nanometrics etch processing?

    The development and production of energy-efficient electronic components is a major challenge for the microelectronics sector. To answer such a challenge, CEA-Leti and CNRS-LTM does not only focus on building, making and testing new architecture. We also focus on developing greener process and investigating novative solutions to reduce the environmental impact. Precedent works on process...

    Learn more Apply

  • phD Realization of MOSFET gates at the sub-10nm node on FD-SOI

    As part of the NextGen project and the European ChipACT to ensure the sovereignty and competitiveness of France and Europe in terms of electronic nano-components, CEA-LETI is launching the design of new FD-SOI chips. Already present daily in the automotive or connected object areas, 28-18nm FD-SOI transistors are produced in large volumes by microelectronics founders...

    Learn more Apply

  • phD Development and characterization of embedded memories based on ferroelectric transistors for neuromorphic applications

    As part of CEA-LETI's Devices for Memory and Computation Laboratory (LDMC), you will be working on the development and optimization of FeFET transistors with amorphous oxide semiconductor channels for neuromorphic applications and near-memory computing. The main challenge when co-integrating semiconductor and ferroelectric oxides is to perfectly assess and control a proper amount of oxygen vacancies,...

    Learn more Apply

  • phD Lithography process and design rules co-optimisation for advance microelectronics

    Historically, the development of integrated circuit performance has been based on the reduction in size of individual components. The main driving force behind this miniaturization is photolithography, a key step in the semiconductor component manufacturing process. This process consists in reproducing the design of the circuits to be produced in a photosensitive resin. These complex...

    Learn more Apply

  • phD The impact of intrinsic and of extrinsic defects on the dynamic Ron and off-state leakage current of lateral GaN power devices

    The intentional doping of lateral GaN power high electron mobility transistors (HEMTs) with carbon (C) impurities is a common technique to reduce buffer conductivity and increase breakdown voltage. However, this comes at the cost of increased intrinsic defects together with degraded dynamic on-resistance (Ron) and current-collapse effects. The aim of this project is compare the...

    Learn more Apply

  • phD Design of FD-SOI-specific True Random Number Generator

    TRNGs are the essential block of any cryptographic system. Current standards, such as AIS-31, require a stochastic model, which directly relates the model of the physical source of randomness to the entropy of the generated random bits. TRNGs are benchmarked based on their throughput, efficiency and robustness. As such, FD-SOI (Fully Depleted Silicon on Insulator)...

    Learn more Apply