Jobs

All our offers

+Filter by technology challenge

  • Cyber security : hardware and sofware
  • Energy efficiency for smart buildings, electrical mobility and industrial processes
  • Solar energy for energy transition
  • Green & decarbonated energy including bioprocesses and waste recycling
  • Additive manufacturing, new routes for saving materials
  • Support functions
  • Advanced hydrogen and fuel-cells solutions for energy transition
  • Instrumentation nucléaire et métrologie des rayonnements ionisants
  • Artificial Intelligence & data intelligence
  • New computing paradigms, including quantum
  • Emerging materials and processes for nanotechnologies and microelectronics
  • Advanced nano characterization
  • Photonics, Imaging and displays
  • Communication networks, IOT, radiofrequencies and antennas
  • Smart Energy grids
  • Numerical simulation & modelling
  • Stockage d'énergie électrochimique y compris les batteries pour la transition énergétique
  • Cyber physical systems - sensors and actuators
  • Health and environment technologies, medical devices
  • Factory of the future incl. robotics and non destructive testing

+Filter by contract type

  • Work-study contract
  • Fixed term contract
  • Permanent contract
  • phD
  • PostDoc
  • Internship

+Filter by institute

  • CEA-Leti
  • CEA-List
  • CEA en Région

+Filter by location

  • Bordeaux – Pessac
  • Grenoble
  • Lille
  • Metz
  • Nantes
  • Paris – Saclay
  • Quimper
  • Toulouse - Labège

+Filter by Level of study

  • Level 4
  • Level 5 / Level 6
  • Level 7
  • Level 8
Number of results : 87
  • phD Towards sustainable electronics: impact and understanding of the substitution of high GWP gases on plasma etching processes

    To address environmental concerns in the microelectronics industry, CEA-LETI is committed to an eco-innovation approach [1]. In this context, the development of eco-responsible processes that reduce PerFluoro-Carbon (PFC) emissions is crucial [2]. Plasma etching processes are a major emitter of PFCs because they traditionally use high GWP gases. The aim of this thesis will be...

    Learn more Apply

  • phD Integration of new materials with strong spin-orbit coupling (van der Waals tellurides) for SOT-MRAM memories

    The new concepts offered by “spinorbitronics” make it possible to envisage more frugal electronics. In particular, for writing a magnetic memory (MRAM), a new concept of magnetization reversal by a spin-orbit couple is emerging. Its principle permits a significant decrease in memory writing energy and enhanced endurance. Its operation is based on the conversion of...

    Learn more Apply

  • Fixed term contract Ingénieur layout mémoires et SoC mémoires H/F

    Dans le cadre du développement de systèmes intégrés à base de nouveaux dispositifs de mémoires non volatiles développés au LETI, nous recherchons un(e) ingénieur(e) ayant de l'expérience en layout de circuits mémoires et de systèmes-sur-puce. En interaction avec les concepteurs et architectes,  vous aurez pour mission: l'organisation du floorplan d'un module complet, l'organisation et la...

    Learn more Apply

  • phD Study of pattern filling for nanoimprint use in advanced process

    Since 1960, CEA-LETI (laboratory of electronics and information technology) is a driver of French innovation in new technologies domain. Its diverse entities bridge fundamental research and industrial outcomes. One of them, DPFT (department of technological platform) leverages innovation and maturation of new processes for next-generation electronics with its pre-industrial environment grouping together manufacturing and characterization...

    Learn more Apply

  • PostDoc Design of in-memory high-dimensional-computing system

    Conventional von Neumann architecture faces many challenges in dealing with data-intensive artificial intelligence tasks efficiently due to huge amounts of data movement between physically separated data computing and storage units. Novel computing-in-memory (CIM) architecture implements data processing and storage in the same place, and thus can be much more energy-efficient than state-of-the-art von Neumann architecture....

    Learn more Apply

  • phD AI for SEM metrology: image generation and 3D reconstruction applied to microelectronic devices

    Scanning Electron Microscopy (SEM) imaging is the current reference method for quality control in the microelectronic industry, due to the size of the objects involved and to the yield expected when these tools are used in production lines. In order to improve our knowledge on physics in play during imaging and to develop more performant...

    Learn more Apply

  • PostDoc Development of innovative metal contacts for 2D-material field-effect-transistors

    Further scaling of Si-based devices below 10nm gate length is becoming challenging due to the control of thin channel thickness. For gate length smaller than 10nm, sub-5nm thick Si channel is required. However, the process-induced Si consumption and the reduction of carrier mobility in ultrathin Si layer can limit the channel thickness scaling. Today, the...

    Learn more Apply

  • phD Qubit conditioning circuit based on Single Electron Transistor electronics

    A new research direction has emerged that consists in the design of cryogenics integrated circuits (cryo-CMOS) to address the needs of many scientific experiments in astronomy, in physics of particles or in quantum physics. Nevertheless, the power consumption of such solutions is still high and prevent their use in applications that necessitate the conditioning of...

    Learn more Apply

  • phD Study of InP and AsGa wafer bonding mechanisms

    Direct bonding consists of bringing sufficiently smooth and clean surfaces into contact, in order to create adhesion between them without adding any external material. This technology presents many advantages for the production of stacked structures for microelectronics and micro-technologies and has given rise to numerous innovations (manufacturing of SOI by SmartCutTM, manufacturing of SmartSiCTM, production...

    Learn more Apply

  • phD “Remote epitaxy" of Cd(Hg)Te

    A new way of considering epitaxy has recently appeared thanks to the development of 2D materials. Whereas conventional epitaxy involving covalent bonds is limited in particular to a lattice parameter matching between the substrate and the epitaxial membrane, it appears that this constraint can be significantly released if the epitaxial growth is done by van...

    Learn more Apply

  • phD In situ study of the impact of the electric field on the properties of chalcogenide materials

    Chalcogenide materials (PCM, OTS, NL, TE, FESO, etc.) are the basis of the most innovative concepts in microelectronics, from PCM memories to the new neuromorphic and spinorbitronic devices (FESO, SOT-RAM, etc.). Part of their operation relies on out-of-equilibrium physics induced by the electronic excitation resulting from the application of an intense electric field. The aim...

    Learn more Apply

  • phD Quantum Cascade III-V/Si laser micro-sources

    This thesis project focuses on the development of innovative micro-laser sources by combining III-V Quantum Cascade materials with Silicon Photonic Crystals. By integrating these advanced technologies, we aim to create hybrid lasers emitting in the middle infrared. This approach has significant advantages for medium-infrared spectrometry (MIR), a crucial technique for the chemical detection of gaseous,...

    Learn more Apply

  • phD Epitaxial layer on GaAs or Ge transfer to sapphire or silicate for gravitational waves mirror realization

    Gravitational waves were predicted by the theory of general relativity, they are created in the universe by extreme cosmic events. Their measurement on earth in large instruments such as VIRGO in Italy is a challenge in terms of measurement sensitivity. These instruments are large interferometers (several kilometers), and the entire optical chain must minimize noise...

    Learn more Apply

  • phD Innovative dry etching process of exotic materials

    The advantageous properties (electro-optical, - acoustic, -mechanical) of new materials such as Sc-doped ALN, LNO, LTO or KNN make them essential to meet the development needs of integrated optics, RF telecommunication and microsystems. The production of patterns with submicron dimensions with a significant etch rate (gt;100nm/min), a vertical profile and a reduced roughness of the...

    Learn more Apply

  • phD Development of deposit/etch processes for SADP integration to FD10 node

    Developement of new technologic nodes involves both a pattern dimension shrink and a pattern density increase. For the last years, development of multi-Patterning strategies with in particular Spacer Patterning (also called SADP) has signifcantly increased. This approach is based on a sacrificial pattern on which a material is depsosited with a conformal configuration to be...

    Learn more Apply

  • phD Study of co-integrated TeraHertz source arrays in Silicon and III-V photonics technology

    TeraHertz (THz) radiation is of growing interest for imaging and spectroscopy in various application fields such as safety, health, environment and industrial control, since in this frequency range many dielectric materials are transparent and many molecules present unique spectral signatures for their identification. However, the limitations of the current sources, required for this active Imaging,...

    Learn more Apply

  • phD Etch and integration of phase change materials for reconfigurable photonic

    Chalcogenide glasses are materials of interest for many applications: in phase-change memories, for example optical storage (CD-RW, DVD-RAM, Blu-ray Disks) or more recently Storage Class Memory, as a selector in 3D architecture resistive memories (OTS selector) or as an active medium for non-linear optics and reconfigurable photonics. In the latter case, the production of metasurfaces...

    Learn more Apply

  • phD Towards sustainable and reliable piezoelectric technology for MEMS actuator and sensor devices

    Proven or predictable shortages of materials and the necessary frugality associated with the energy transition create a constrained framework for the sustainable deployment of microelectronics and microsystems technologies. This thesis is thus part of an approach that aims to significantly reduce the environmental footprint of piezoelectric MEMS actuator/sensor technology. The latter is based on the...

    Learn more Apply

  • phD Ecodesign of sintered interonnects : reliability and repairability improvement

    Metallic sintering, particularly silver-based or copper-based sintering, is a component interconnects technique alternative to soldering, used in particular in the field of power electronics. The main advantages of this technique are first of all its very high thermal and electrical conductivity, but also the fact that the sintered interconnection (with a process realized for example...

    Learn more Apply

  • phD Semiconductor perovskites for the future of medical radiography: experimental analysis of doping and link to electrooptical performance

    X-rays is the most widely used medical imaging modality for the detection of pathologies, the monitoring of their evolution and during certain surgical procedures. The objective of this thesis is to study a new semiconductor material based on perovskites for direct X-ray detection. Their use in the form of photoconductive devices in matrix imagers should...

    Learn more Apply

  • Permanent contract INGENIEUR DEVELOPPEMENT MASQUE LITHOGRAPHIE H/F

    Nous vous attendons avec impatience pour renforcer notre capacité à développer rapidement des masques fiables conçus pour répondre aux innovations en cours sur nos composants. Vous participez à l’ensemble de la chaine de réalisation des masques de lithographie. A partir des données recueillies en interne et auprès des fournisseurs, vous établirez un cahier des charges...

    Learn more Apply

  • Fixed term contract Ingénieur microélectronique layout - masque H/F

    Le laboratoire recherche un Ingénieur microélectronique Layout/Masque dans le domaine des différentes technologies innovantes du CEA-Leti. Rejoignez-nous! Durant toute la phase de montée en maturité d’une technologie donnée, il est indispensable de fiabiliser notre processus de fabrication. L'un des facteurs clés de réussite consiste à concevoir des masques de photolithographie qui vont permettre la fabrication...

    Learn more Apply

  • Fixed term contract Ingénieur microélectronique CAD/ Design kit H/F

    Lorsqu'une technologie atteint un niveau de maturité R&D élevé, il est indispensable de fiabiliser notre processus de fabrication. L'un des facteurs clés de réussite consiste à développer des Design Kits qui vont permettre de modéliser un processus de fabrication contenant notamment les composants, les modèles de simulation, les règles de dessins, etc… et de fournir...

    Learn more Apply

  • phD Development of optomechanical clocks with integrated electronics

    Time references (clocks) are components used in the majority of electronic circuits. CEA-Leti is a pioneer in the development of high-frequency/high-performance clocks using optomechanics, which combines two cutting-edge technologies: microelectromechanical resonators (MEMS) and silicon photonics. This breakthrough approach allows overcoming the constraints of current clock technologies. CEA-Leti has developed optomechanical components with state-of-the-art performance and...

    Learn more Apply

  • phD Development and integration of technological boosters for strain engineering in advanced FDSOI channels

    Fully Depleted Silicon On Insulator FDSOI CMOS technology has been demonstrated to be highly efficient for low power and low leakage applications such as mobile, internet of things or wearable. This is mainly due to the excellent electrostatic control in the transistor channel, a low variability and high application flexibility (owing to a great back-biasing...

    Learn more Apply

  • phD Intrafield placement error optimisation for advanced integration nodes

    As part of the microelectronics growth plan, driven by the European directive France 2030, CEA Leti is acquiring new state-of-the-art tools and developing new technologies for future applications. The challenge is to develop several technological building blocks enabling the industrial transfer of microelectronic processes down to the 10nm technology node. Lithography is the most critical...

    Learn more Apply

  • phD Semi-polar GaN epitaxy for high frequency µLEDs

    Semiconductor nitride-based LEDs have reached a high level of maturity due to their use in the field of lighting. While the internal electric field present in InGaN quantum wells does not limit the efficiency of blue LEDs, it does induce the confined quantum Stark effect (QCSE), which limits the bandwidth of the LEDs and thus...

    Learn more Apply

  • phD Development of advanced gallium oxide (Ga2O3) on polycrystalline silicon carbide (SiC) bilayer substrates for power electronics

    Enhancing the efficiency of energy-conversion devices is a primary goal for numerous international organizations [1]. As a result, the power electronics and wide-band-gap materials industries require significant development effort to align with these objectives. Particularly, recent progress in silicon carbide (SiC) processing technologies [2]–[4] show that innovative substrate architectures have the potential to offer state-of-the...

    Learn more Apply

  • phD X-ray attacks of advanced technology integrated circuits

    The CESTI laboratory in Grenoble is responsible for the safety evaluation of products (commercial or prototype). or prototypes). A wide range of tests can be carried out as part of these evaluations, including those designed to observe the target's behavior when faults are injected into integrated circuits. Fault injection consists of perturbing the system by...

    Learn more Apply

  • phD Molecular dynamics simulation of phase change in Ge-rich GeSbTe materials

    The goal of this thesis is to study the phase change of Ge-rich GST with molecular dynamics (MD) simulations using equivariant graph neural networks interatomic potentials (GNN-IP). The candidate will train a GNN-IP model on ab initio reference calculations of Ge-rich GST in order to describe amorphous and crystalline phases. The GNN-IP will be used...

    Learn more Apply

  • phD Impact and cohabitation of Lithium on a microelectronics platform

    Context: Lithium-based materials, whether thin layers or bulk material, are of great interest for varied applications (batteries, RF components…). However, their cohabitation with other “standard” materials for microelectronics requires special attention regarding dissemination in the clean room and a potential impact on electrical performances of devices. Indeed, as a precaution, these materials are “confined” on...

    Learn more Apply

  • phD Modeling and Optimization of 2D Material-Based Field-Effect Transistors: From Multi-Physics Simulations to Atomic-Scale Insights

    Field-effect transistors employing 2D materials are emerging as promising candidates due to their superior mobility and atomic thinness. Nonetheless, this technology faces multiple challenges, including minimizing contact resistances, controlling variability, and optimizing short-channel transistors (lt; 10 nm). At CEA-Leti, a concerted experimental and computational effort is underway to address these issues and propel the development...

    Learn more Apply

  • Fixed term contract Ingénieur Développement Procédés de Gravure – Localisé à ST Crolles H/F

    Au sein du département Plasma Crolles 300mm, vous intégrerez l’équipe dynamique de la gravure Process Développement. Vous aurez pour mission de supporter les développements de procédés de gravure en cours, notamment autour des traitements informatiques : 1) Partie technique procédé plasma - Support et suivi des procédés de gravure sur les technologies en développement - Analyse...

    Learn more Apply

  • Fixed term contract Ingénieur Chercheur Développement OPC Lithographie H/F

    Au sein du LETI, nous sommes une équipe pluridisciplinaire d’une quinzaine de personnes spécialisées dans la préparation des données destinées aux dessins sur les masques optiques pour la lithographie, ainsi que sur la métrologie et les logiciels associés. Notre méthode de travail collaborative et agile nous permet de partager nos connaissances et savoir-faire afin de...

    Learn more Apply

  • Fixed term contract Technicien(ne) Procédés Salle Blanche H/F

    Vous travaillerez en horaire posté (semaine nuit 21h00 – 5h15) afin d’assurer la couverture optimale de l’activité et des moyens de la salle blanche. Il s’agira couvrir un large panel de procédé de microélectronique et de métrologie sur substrats 300mm Vous aurez pour missions principales : - La maitrise des procédés et des équipements de...

    Learn more Apply

  • Fixed term contract Technicien gravure/stripping H/F

    Vous devrez assurer : - La réalisation des opérations technologiques de stripping (sec et humide), qu'il s'agisse de procédés standards ou engineering, et la caractérisation associée. - Assurer une qualité optimale dans le traitement des lots - Le sustaining aux opérations sur les lots des différentes filières et le passage de consigne - Le suivi...

    Learn more Apply

  • phD Photonic Spiking Neural Networks based on Q-switched laser integrated on Silicon

    Neuromorphic networks for signal and information processing have acquired recently a renewed interest considering the more and more complex tasks that have to be solved automatically in current applications: speech recognition, dynamic image correlation, rapid decision processing integrating a plurality of information sources, behavior optimization, etc… Several types of neuromorphic networks do exist and, among...

    Learn more Apply

  • Fixed term contract Ingénieur en procédés durables de nettoyage humide post gravure H/F

    Vous travaillerez sous la responsabilité du chef de laboratoire journée. Vous aurez pour missions principales :  - De mener un travail de R&D visant au développement de procédés de nettoyage post-gravure plus responsable pour des technologies 300mm.  - De mener les études nécessaires à la compréhension des procédés en travaillant en étroite collaboration avec les...

    Learn more Apply

  • phD Characterization and design of radiation-hardened HfO2-based non-volatile memories

    This project concerns the characterization and design of radiation-hardened non-volatile memory circuits based on HfO2 material. This material is immune to both natural (space) and artificial (man-made) radiation, and can be used to enhance the reliability of data storage in harsh environments. Whatapos;s more, when combined with FD-SOI CMOS technology, which also offers a certain...

    Learn more Apply

  • phD Could convolutional neural network bring benefits in nanometrics etch processing?

    The development and production of energy-efficient electronic components is a major challenge for the microelectronics sector. To answer such a challenge, CEA-Leti and CNRS-LTM does not only focus on building, making and testing new architecture. We also focus on developing greener process and investigating novative solutions to reduce the environmental impact. Precedent works on process...

    Learn more Apply

  • phD Realization of MOSFET gates at the sub-10nm node on FD-SOI

    As part of the NextGen project and the European ChipACT to ensure the sovereignty and competitiveness of France and Europe in terms of electronic nano-components, CEA-LETI is launching the design of new FD-SOI chips. Already present daily in the automotive or connected object areas, 28-18nm FD-SOI transistors are produced in large volumes by microelectronics founders...

    Learn more Apply

  • phD Development and characterization of embedded memories based on ferroelectric transistors for neuromorphic applications

    As part of CEA-LETI's Devices for Memory and Computation Laboratory (LDMC), you will be working on the development and optimization of FeFET transistors with amorphous oxide semiconductor channels for neuromorphic applications and near-memory computing. The main challenge when co-integrating semiconductor and ferroelectric oxides is to perfectly assess and control a proper amount of oxygen vacancies,...

    Learn more Apply

  • phD Lithography process and design rules co-optimisation for advance microelectronics

    Historically, the development of integrated circuit performance has been based on the reduction in size of individual components. The main driving force behind this miniaturization is photolithography, a key step in the semiconductor component manufacturing process. This process consists in reproducing the design of the circuits to be produced in a photosensitive resin. These complex...

    Learn more Apply

  • phD The impact of intrinsic and of extrinsic defects on the dynamic Ron and off-state leakage current of lateral GaN power devices

    The intentional doping of lateral GaN power high electron mobility transistors (HEMTs) with carbon (C) impurities is a common technique to reduce buffer conductivity and increase breakdown voltage. However, this comes at the cost of increased intrinsic defects together with degraded dynamic on-resistance (Ron) and current-collapse effects. The aim of this project is compare the...

    Learn more Apply

  • phD Design of FD-SOI-specific True Random Number Generator

    TRNGs are the essential block of any cryptographic system. Current standards, such as AIS-31, require a stochastic model, which directly relates the model of the physical source of randomness to the entropy of the generated random bits. TRNGs are benchmarked based on their throughput, efficiency and robustness. As such, FD-SOI (Fully Depleted Silicon on Insulator)...

    Learn more Apply

  • Fixed term contract Microelectronic 3DIC CAD Engineer H/F

    Within CEA Grenoble, the integrated circuit design platform is one of Europe’s leading technological research design center specialized in digital, analog, mixed-signal and radiofrequency component circuit and system design.  3D heterogeneous and monolithic integrations allows mixing different CMOS technologies, to offer the best system performance trade-offs. Chiplet-based architecture allows building efficiently larger systems in a plug&playing...

    Learn more Apply

  • Permanent contract Technicien process microélectronique H/F H/F

    Nous rejoindre, pour quoi faire ? Nous renforçons notre équipe pour faire face à de nouveaux défis en termes de développements technologiques sur les procédés WET et TTH. Le respect des délais et de la qualité sur lesquels nous sommes engagés repose en grande partie que la qualité de votre travail. Votre rôle consistera en...

    Learn more Apply

  • Fixed term contract Technicien HVAC - travaux et exploitation H/F

    Nous rejoindre, pour quoi faire ? Cette croissance implique des évolutions dans nos activités, et nous avons besoin de votre soutien pour continuer à progresser. L’objectif de notre équipe pluridisciplinaire est de permettre le fonctionnement en continu des équipements et des installations dans des conditions optimales. Parmi nos enjeux, nous devons répondre aux besoins clients...

    Learn more Apply

  • phD Study of innovative MOS gate stack for energy efficient SiC power transistors.

    Silicon carbide (SiC) components represent the future of power electronics, surpassing silicon technologies in terms of temperature tolerance and power handling capability. At the heart of this evolution, CEA Leti plays a key role in the development of these new generation components, essential for applications such as electric vehicles, charging systems or photovoltaic installations. Our...

    Learn more Apply

  • phD Design and construction of a snubber circuits associated with a power transistors in order to reduce disturbances during fast switching.

    The thesis topic is aligned with the European Common Interest Project IPCEI ME/CT, which aims to enhance the value of the European semiconductor sector. It particularly investigates protection systems for direct current (DC) electrical networks against power overloads, short circuits, and electric arc incidents. These complex systems rely on power transistors to manage controlled disconnection...

    Learn more Apply

  • Permanent contract Ingénieur(e) Validation Applicative Electronique de Puissance H/F

    Nous rejoindre, pour quoi faire ? Votre rôle consistera à conduire et faire évoluer les activités de validation applicative au sein de la thématique Electronique de Puissance du CEA Occitanie. Vous interviendrez sur des projets de R&D dont l’enjeu principal est de contribuer au développement de systèmes d’électronique de puissance innovants, à travers les différents...

    Learn more Apply

  • Fixed term contract Ingénieur(e) en instrumentation et système embarqué H/F

    Le Laboratoire Architectures Electroniques, Modélisation et Analyse de données (LEMA) recherche un(e) ingénieur(e) de recherche en instrumentation et système embarqué. Vous serez amené(e) à développer des outils de détection de rayonnements ionisants dans le cadre d'un projet pour l'instrumentation nucléaire. A partir des concepts d’emplois définis par notre partenaire et des caractéristiques de mesure physique, vous...

    Learn more Apply

  • phD Development and characterization of low temperature Cu-dielectric hybrid bonding

    Cu-dielectric hybrid bonding is a technology that enables the assembly of components with very fine interconnection pitch, opening the path to new integrations for advanced applications such as High Performance Computing, Smart Imagers,… Leti has been engaged for more than 10 years in the development of this technology, in partnership with various industries and academies,...

    Learn more Apply

  • phD Advanced fully-depleted Silicon-on-insulator devices for Radio-Frequency applications

    The PhD will be performed in the NEXTGEN project aimed at developing the next generation of Silicon-on-insulator devices. Our laboratory is driving the development of the RF active devices: this is a great opportunity to carry out fundamental research using state-of-the art processing equipment and characterization instruments while working in close collaboration with our industrial...

    Learn more Apply

  • Fixed term contract Ingénieur filière technologique en composant de photonique intégrée H/F

    Les missions principales d'un ingénieur filière technologique en micro-électronique sont les suivantes : -définir et mettre au point les nouvelles filières technologiques en s’appuyant au maximum sur les process et modules existants. -définir l'enchaînement des étapes technologiques de la filière. -rédiger le carnet de lots et contribuer au processus de validation du carnet de lot....

    Learn more Apply

  • Fixed term contract Chef(fe) de projet Synchronisation multi projets équipements H/F

    Le projet « Next Gen » a pour but d’identifier et de mettre au point les modules critiques d’une filière silicium sur isolant désertée (FD-SOI) de génération 10 à 7 nm. Outre les travaux de R&D, le projet inclut des investissements en équipements de fabrication de semi-conducteurs de génération avancée et en salles blanches de nouvelle génération...

    Learn more Apply

  • phD Brain Computer Interface - Investigating new ways for extracting the information

    In 2011, our laboratory developed the ASIC integrated into the WIMAGINE® implant, which played a crucial role in the motor recovery of a patient paralyzed due to a spinal cord injury. We are now focusing on designing a new generation of electronic interfaces to interact with the brain to enhance performance and energy efficiency. This...

    Learn more Apply

  • phD Seeking the maximal active dopant concentration in Si using nanosecond laser annealing

    In conventional CMOS technology, source & drain regions of transistors are formed by ion implantation of selected impurities (B, P) in silicon or SiGe alloy, and a subsequent thermal treatment to cure the crystal and electrically activate the dopants. In the case of 3D-sequential integration, an architecture in which at least tow levels of transistors...

    Learn more Apply

  • phD Titanium silicide integration on future generation of low power transistor

    In the ultra-competitive world of semi-conductor industry, CEA work on development of very low power transistor with high performances called FD-SOI for European industry. The PhD will take place inside CEA-LETI, worldwide known for its expertise on FD-SOI field. Inside LETI, you will be part of a 6 to 7 people team working on silicides....

    Learn more Apply

  • phD Battery-on-chip characterization and modeling by machine learning-assisted techniques

    The physical mechanisms involved in the operation of a microbattery are still poorly understood and modelled. To study them, the CEA has a manufacturing and characterisation platform dedicated to lithium components. The aim of this thesis is to develop a physical model to describe the performance of batteries (voltage, power output) depending on the conditions...

    Learn more Apply

  • Work-study contract Optimisation d'un banc de mesures rayons X multimodales pour des applications microélectroniques H/F

    La Direction de la Recherche Technologique, qui sommes-nous ? Plus de 4.000 personnes investies dans les enjeux sociétaux ! Avec sa Direction de la Recherche Technologique (DRT), le CEA agit comme un accélérateur d'innovation au service de l'industrie pour tous les secteurs d'activités, répondant ainsi aux enjeux liés à notre santé, notre sécurité, nos technologies...

    Learn more Apply

  • Fixed term contract Ingénieur Informatique Industrielle Salle Blanche H/F

    Dans le cadre de l'exploitation de ses salles blanches, le DPFT doit assurer le maintien en conditions opérationnelles de ses équipements, et augmente ses moyens technologiques en investissant dans de nouveaux équipements à l'état de l'art mondial. Intégré au sein du LLAM, vous accompagnerez la transition numérique du LETI, en assurant les missions suivantes: Participer...

    Learn more Apply

  • Fixed term contract Ingénieur simulation numérique TCAD de procédés micro et nanoélectronique H/F

    Le Laboratoire de Simulation et de Modélisation a pour mission d’accompagner le développement des technologies du Leti avec un cœur d’activités centré sur les dispositifs de type transistor, cellule mémoire et interconnexion. En amont de la phase de support aux développements technologiques, le laboratoire est acteur de l’optimisation des architectures de dispositifs et des procédés,...

    Learn more Apply

  • Permanent contract Ingénieur(e) métrologie, microscopie à force atomique H/F

    Les besoins, dans le domaine de la caractérisation par microscopie à force atomique (AFM) des lots en salle blanche, nécessitent le recrutement d'un(e) ingénieur(e). Le ou la candidat(e) sera intégré(e) à l'équipe de microscopie AFM in line du Laboratoire d’Analyses de Surface et Interface du SMCP et travaillera en horaires collectifs journée. Il (elle) devra...

    Learn more Apply

  • Fixed term contract Ingénieur Caractérisation Electrique de composants pour la Puissance (GaN et SiC) H/F

    Le marché des composants de puissance à base de Nitrure de Gallium (GaN) et de Carbure de Silicium (SiC) est en pleine croissance. Le CEA Leti est fortement impliqué dans le développement des futures générations de ces types de composants en supportant des acteurs industriels majeurs du domaine dans la mise en place de lignes...

    Learn more Apply

  • Fixed term contract Technicien analyse et contrôle de raccordement d'équipement H/F

    Passionné par les études engineering votre mission consiste à étudier la documentation des équipements et prendre contact avec les équipementiers lorsque nécessaire afin de compiler toutes les caractéristiques des interfaces et les synthétiser dans une fiche de raccordement (dite Annexe H). Plus précisément, il s'agira d'organiser et de coordonner des études pour tout équipement nécessitant...

    Learn more Apply

  • Fixed term contract Technicien métrologie de couches minces par rayons X H/F

    Les missions principales du poste seront les suivantes : Participation au maintien et au bon fonctionnement des équipements de métrologie XRD, XRR, XRF : suivi des performances des équipements (plan de contrôle), pré-diagnostique des pannes en lien avec les responsables équipements et l'équipe maintenance du service, Support à l’activité métrologie de la salle blanche et aux utilisateurs...

    Learn more Apply

  • Permanent contract Ingénieur procédés lithographie H/F

    Au sein du laboratoire de lithographie avancée, vous ferez partie d’une équipe projet focalisée sur le développement de techniques de photolithographie, en lien avec les projets de recherche des départements applicatifs. Le CEA-Leti s’inscrit dans une démarche d’eco-innovation. Dans ce cadre, le laboratoire développe notamment des procédés de photolithographie sur de nouveaux matériaux répondant à...

    Learn more Apply

  • Fixed term contract Chargé(e) de mission mise en œuvre et suivi conformité projet européen H/F

    Dans le cadre du déploiement de cette ligne pilote un protocole appelé Joint Procurement Agreement – JPA – est signé entre le CEA-Léti et l’organe européen – Chip JU - chargé de la direction de l’exécution du Chips Act . Ce JPA prévoit les attendus de la CE en matière d’achat (Règles d’achat, relations entre...

    Learn more Apply

  • Fixed term contract Ingénieur caractérisation électrique de mémoires innovantes H/F

    Le CEA Leti est un institut à la pointe sur le développement de technologies mémoires non-volatiles émergentes. Parmi ces développements le transistor à effet de champ ferroélectrique (FeFET) permet de combiner les opérations logiques et de mémoire dans le même dispositif. Le Laboratoire de Caractérisation Electrique et Fiabilité (LCEF) a pour mission de tester et caractériser l’ensemble...

    Learn more Apply

  • Fixed term contract Développement de composants optomécaniques en Silicium H/F

    L’équipe optomécanique du laboratoire MEMS du LETI est en charge du développement de composants optomécaniques NEMS et MEMS. Les composants sont fabriqués collectivement en salle blanche avec des procédés de micro-usinage issus de l'industrie microélectronique, bénéficiant d'une intégration élevée grâce à une filière technologique optomécanique 200 mm à grande échelle. L’activité regroupe la conception, la...

    Learn more Apply

  • Fixed term contract Ingénieur filière technologique sur les composants de puissance à semi-conducteur grand gap H/F

    Au sein d'une équipe d'une vingtaine d’ingénieurs-chercheurs pluridisciplinaires et en relation avec des partenaires industriels et académiques de premier rang, vous êtes en charge d’une filière de fabrication de nouveaux composants de puissance à semi-conducteur à large bande interdite. Plus particulièrement, vous contribuez au développement de nouvelles générations de transistors de puissance à base de...

    Learn more Apply

  • Fixed term contract CDD-Chargé(e) de Communication Projet Européen H/F

    Nous recherchons un(e) Chargé(e) de Communication pour un contrat à durée déterminée (CDD) dans le cadre d'un projet européen innovant et à forte dimension scientifique. Le/la candidat(e) idéal(e) jouera un rôle clé dans la gestion de la communication du projet, en veillant à la vulgarisation des résultats scientifiques, à la diffusion efficace des informations et...

    Learn more Apply

  • Fixed term contract Ingénieur Implant H/F

    Vous travaillerez en horaire journée, en collaboration avec les équipes en horaires décalées afin d’assurer la couverture optimale de l’activité Implant et des moyens de la salle blanche. Vous aurez pour missions principales : - Le démarrage de nouveaux équipements d’implantation ionique, en participant à l’acceptance, en développant de nouvelles recettes et en mettant en...

    Learn more Apply

  • Fixed term contract Ingénieur device microélectronique

    Au sein du LETI, nous sommes un laboratoire d'une quinzaine de personnes menant une activité de rechercher à l'état de l'art mondial sur le quantique sur silicium. Nous préparons l'avenir de l'informatique et des technologies SOI, l'un de nos projets phare étant la R&D pour la start-up QUOBLY, créée par le CNRS et le CEA,...

    Learn more Apply

  • PostDoc Optimization of Li metal/electrolyte for the next generation of all-solid-state battery

    CEA Tech Nouvelle-Aquitaine, created in 2013, set up a new laboratory, since more than two years, focused on both the development of materials and the high throughput screening to accelerate the discovery of materials for the next generations of Li-ion batteries. For that, the CEA Tech Nouvelle-Aquitaine acquires different vacuum deposition equipment (sputtering, evaporation, atomic...

    Learn more Apply

  • Permanent contract Pilote Engineering Technologies III/V H/F

    Le Pilote Engineering Technologies II/V est un expert dans son domaine.  Ses missions seront de : · participer à la ligne programme Matériaux du LETI en apportant les connaissances et expertises acquises dans le domaine des matériaux III/V à l'exception des nitrures, · mettre en œuvre les orientations de la LP Matériaux, · établir la...

    Learn more Apply

  • Fixed term contract Technicien intégration pour les technologies avancées H/F

    Nous rejoindre, pour quoi faire ? Le CEA-LETI est un acteur majeur de projets européens de grande envergure tels que le projet FAMES qui consiste à développer des nœuds avancés de la technologie FD-SOI. Dans ce cadre, nous renforçons notre équipe pour faire face à de nouveaux défis en termes de développements technologiques sur le...

    Learn more Apply

  • Permanent contract Chef de Laboratoire Microscopie, Mesures et Défectivité H/F

    En tant que responsable, vous encadrerez le personnel chargé de la préparation d’échantillons (polissage, FIB, etc.) et des analyses associées (FIB/SEM, SEM, TEM), ainsi que des mesures dimensionnelles (CDSEM, Overlay, Scattérométrie) et de la défectivité (patternée et non-patternée). En votre qualité de manager de l'équipe et de responsable de l'activité du laboratoire, vous :  - assurez...

    Learn more Apply

  • Permanent contract Technicien procédés de collage pour applications 3D et photoniques H/F

    Au sein du laboratoire, vous serez amené(e) à évoluer sous la responsabilité technique du responsable des activités « collage ». En étroite collaboration avec le personnel travaillant en horaire posté, et nos partenaires industriels, vous répondrez à la fois aux besoins de production en petites séries et aux besoins de R&D. Vous aurez pour missions principales : -...

    Learn more Apply

  • Fixed term contract Ingénieur procédés de collage pour applications 3D et photoniques H/F

    Au sein du laboratoire, vous serez amené(e) à évoluer sous la responsabilité technique des responsables des activités « Amincissement, Préparations de surface & collage » de notre équipe. En étroite collaboration avec l’un de nos grands partenaires industriels, vous contribuerez à l’évaluation d’un nouvel équipement de collage puces à plaques, ainsi qu’aux développements des puces & substrats...

    Learn more Apply

  • Permanent contract Ingénieur Caractérisation Electrique de mémoires PCRAM H/F

    Dans ce contexte, le LCEF recherche un « Ingénieur Caractérisation Electrique de Mémoires PCRAM». Le poste couvrira les activités suivants : Réalisation de tests et caractérisations des dispositifs mémoires sur des bancs de mesure sous pointes automatiques ou semi-automatiques en suivant des méthodologies développées au laboratoire. Traitement des données, extraction de paramètres pertinents des dispositifs mémoires...

    Learn more Apply

  • Permanent contract Ingénieur Chercheur Simulation Physique pour la Microélectronique H/F

    Nous vous invitons à participer au défi que nous avons lancé : miniaturiser encore et encore pour augmenter les performances de nos semi-conducteurs tout en réduisant leur consommation d’énergie. Cela implique d’optimiser et contrôler nos procédés en faisant appel à des solutions numériques innovantes. Et c’est là que vous intervenez sur les missions déclinées comme...

    Learn more Apply

  • Fixed term contract Ingénieur-chercheur en électronique et systèmes embarqués pour l'instrumentation et l'intelligence a H/F

    Le Département d’Instrumentation Numérique développe depuis plusieurs années dans le cadre de ses activités en contrôle de santé des structures (SHM), un système électronique (Geronimo) permettant l’émission et la réception d’ondes de Lamb par des capteurs piézoélectriques. En collaboration avec un autre laboratoire du service, nous développons à présent des systèmes permettant d’utiliser des réseaux...

    Learn more Apply

  • Permanent contract Ingénieur microélectronique layout/ masque H/F

    Contexte : Durant toute la phase de montée en maturité d’une technologie donnée, il est indispensable de fiabiliser notre processus de fabrication. L'un des facteurs clés de réussite consiste à concevoir des masques de photolithographie qui vont permettre la fabrication des composants. Le métier d'ingénieur Layout/Masque requiert un très bon relationnel ainsi qu’une bonne culture générale...

    Learn more Apply

  • Permanent contract Ingénieur Dépôts matériaux en couches minces (Metal/ALD) H/F

    Vous intégrerez le SDEP dans le laboratoire jour d’environ 45 personnes (techniciens et ingénieurs) et interviendrez en salle blanche. Dans un environnement axé sur le développement et dans le cadre de nombreux projets avec nos partenaires industriels et académiques, vous prendrez en charge le développement de nouveaux procédés et le traitement de lots en 200 mm...

    Learn more Apply

  • Fixed term contract Ingénieur Dépôts matériaux en couches minces H/F

    Vous intégrerez le SDEP au sein d’un laboratoire d’environ 45 personnes, composé de techniciens et d'ingénieurs, et interviendrez en salle blanche. Dans un environnement axé sur le développement, vous participerez à de nombreux projets en collaboration avec nos partenaires industriels et académiques.  Vous aurez en charge : -       Le développement de nouveaux procédés de dépôts...

    Learn more Apply

en_USEN

Contact us

We will reply as soon as possible...